3.5.1组合逻辑电路设计
5、三态门电路
VHDL语言通过指定大写的 Z 值表示高阻状态。
a : std_logic;
a_bus : std_logic_vector(7 downto 0);
指定高阻状态如下:
a <= ‘Z’ ;
a_bus <= “ZZZZZZZZ” ;
例8、三态门电路描述
上一页 下一页 返回